發表文章

CPLD燒錄測試檔pof教學

 老蔡mytsaii教學網頁,hi 你好,我是老蔡mytsaii CPLD燒錄測試檔pof教學 燒錄數位電子乙級CPLD I/O測試檔pof教學 win11, quartus9.1, USB-BLASTER

技能檢定測試參考資料網址

圖片
 老蔡mytsaii教學網頁,hi 你好,我是老蔡mytsaii 技能檢定測試參考資料網址(115/1/3更新) https://owinform.wdasec.gov.tw/ google搜尋流程: google 技能檢定中心 進入技能檢定中心網頁 選技能檢定 選測試參考資料 搜尋你的職類,例如數位電子

class1141223_KiCAD PCB_LAYOUT 技術士技能檢定數位電子乙級CPLD/IO接腳測試板

圖片
老蔡mytsaii教學網頁,hi 你好,我是老蔡mytsaii  class1141223_KiCAD PCB_LAYOUT 技術士技能檢定數位電子乙級CPLD/IO接腳測試板 part 1 youtube影片連結 : https://youtu.be/XCou4OXQcw8 part 2 youtube影片連結: https://youtu.be/VAref08kdOc

數位電子乙級114,試題一,腳位A,KiCAD,老蔡教學。

圖片
 老蔡mytsaii教學網頁,hi 你好,我是老蔡mytsaii Youtube影片: 數位電子乙級114,試題一,腳位A,KiCAD,1141001,老蔡教學

ch7_2可變電阻控制LED亮度,用Serialport回傳可變電阻及LED亮度數值

圖片
 老蔡mytsaii教學網頁,hi 你好,我是老蔡mytsaii ch7_2可變電阻控制LED亮度,用Serialport回傳可變電阻及LED亮度數值 //ch7_ //轉VR2控制LED亮度 //LED接D3 //VR2接A2,要先將SW2-1 on。 //使用序列埠呈現VR值與LED值 //定義接腳 const int VR_pin=A2; const int LED_pin=3; int LED_value; void setup() {   pinMode(LED_pin,OUTPUT);   digitalWrite(LED_pin,0);   Serial.begin(9600); } void loop() {   int VR_value=analogRead(VR_pin);   LED_value=map(VR_value,0,1023,0,255);   analogWrite(LED_pin,LED_value);   Serial.print("VR value is :");   Serial.println(VR_value);   Serial.print("LED value is :");   Serial.println(LED_value);   delay(1000); }

ch7_1可變電阻控制LED亮度

 老蔡mytsaii教學網頁,hi 你好,我是老蔡mytsaii ch7_1可變電阻控制LED亮度 //ch7_1 //轉VR2控制LED亮度 //LED接D3 //VR2接A2,要先將SW2-1 on。 //定義接腳 const int VR_pin=A2; const int LED_pin=3; int LED_value; void setup() {   pinMode(LED_pin,OUTPUT);   digitalWrite(LED_pin,0);   Serial.begin(9600); } void loop() {   int VR_value=analogRead(VR_pin);   LED_value=map(VR_value,0,1023,0,255);   analogWrite(LED_pin,LED_value);   delay(100);   Serial.println(VR_value); }

ch6_2延遲控制relay訊號

 老蔡mytsaii教學網頁,hi 你好,我是老蔡mytsaii ch6_2延遲控制relay訊號 按on,beep一聲,delay 1秒後繼電器on。 按off,beep一聲,delay 3秒後繼電器off。 //ch6_2延遲控制relay訊號 //按on,beep一聲,delay 1秒後繼電器on。 //按off,beep一聲,delay 3秒後繼電器off。 //先宣告使用的腳位 const int ON_pin=A0; const int OFF_pin=A1; const int buzzer_pin=13; const int relay_pin=4; const int on_delaytime=1000; const int off_delaytime=3000; //宣告作動訊號 const int on=0; const int off=1; //宣告beep void beep(void); //設定pin void setup() {   pinMode(ON_pin, INPUT);   pinMode(OFF_pin, INPUT);   pinMode(buzzer_pin, OUTPUT);   digitalWrite(buzzer_pin,0);   pinMode(relay_pin, OUTPUT);   digitalWrite(relay_pin,off); } void loop() {   int i=digitalRead(ON_pin);//低位作動   if(!i)   {     beep();     delay(on_delaytime);     digitalWrite(relay_pin,on);   }   else   {     i=digitalRead(OFF_pin);     if(!i)     {       beep();       delay(off_delaytime);...

ch6_1繼電器開關控制含蜂鳴器題示聲

老蔡mytsaii教學網頁,hi 你好,我是老蔡mytsaii ch6_1繼電器開關控制含蜂鳴器題示聲 設定兩顆微動開關 第一顆微動開關讓繼電器連結 第二顆微動開關讓繼電器斷路 在按開關時有0.1秒的1kHz蜂鳴器聲題示 //ch6_1 const int onPin=A0; const int offPin=A1; const int relayPin=4;//relay接在第4腳,低態動作。 const int buzzerPin=13;//蜂鳴器接在13腳 const int on=0; const int off=1; void beep(void); void setup() {   pinMode(relayPin,OUTPUT);   digitalWrite(relayPin,off);   pinMode(buzzerPin,OUTPUT);   digitalWrite(buzzerPin,0); } void loop() {   int i=digitalRead(offPin);   if(!i)   {     beep();     digitalWrite(relayPin,off);     while(!i)     {       i=digitalRead(offPin);     }   }   else   {     int i=digitalRead(onPin);     if(!i)     {       beep();       digitalWrite(relayPin,on);       while(!i)       {         i=digitalRead(onPin);       }     } ...

ch5_2蜂鳴器DoReMi

 老蔡mytsaii教學網頁,hi 你好,我是老蔡mytsaii ch5_2蜂鳴器DoReMi 蜂鳴器接13腳 //Do=262,1 //Re=294,2 //Mi=327,3 //Fa=349,4 //So=391,5 //La=440,6 //Si=494,7 //Do=523,8 //Re=587,9 //Mi=659,10 //Fa=698,11 //So=784,12 //La=880,13 //Si=988,14 //ch5_2 //Do=262,1 //Re=294,2 //Mi=327,3 //Fa=349,4 //So=391,5 //La=440,6 //Si=494,7 //Do=523,8 //Re=587,9 //Mi=659,10 //Fa=698,11 //So=784,12 //La=880,13 //Si=988,14 const int buzzerPin=13;//buzzer接13腳 const int Note[15]={0, 262,294,327,349,392,440,494,                       523,587,659,698,784,880,988}; const int music[52]={ 1,3,3,3,                     3,5,5,5,                     2,4,4,4,                     6,7,7,7,                     5,5,1,1,                     6,...

ch5_1開關控制蜂鳴器與四位數七段顯示器上下數

 老蔡mytsaii教學網頁,hi 你好,我是老蔡mytsaii ch5_1開關控制蜂鳴器與四位數七段顯示器上下數 四位數七段顯示器預設0000 按upCounter時蜂鳴器發出1kHz聲音,七段顯示器+1 按downCounter時蜂鳴器發出1kHz聲音,七段顯示器-1 七段顯示器數值範圍0000~9999 //ch5_1 const int upCount=A0;//開關上數接A0 const int downCount=A1;//開關下數接A1 const int scan[4]={5,6,7,8};//pin5~pin8 = scan[0]~scan[3] const int dataPin=10;//串列資料 const int latchPin=11;//栓鎖訊號 const int clockPin=12;//接腳移位脈波訊號 const int segCode[10]=  {//0~9編碼低態作動                         0xC0,//0,11000000                         0xF9,//1,11111001                         0xA4,//2,10100100                         0xB0,//3,10110000                         0x99,//4,10011001                   ...

ch4_4七段顯示器跑馬燈移動顯示0,1,2,3,4,5,6,7,8,9循環

老蔡mytsaii教學網頁,hi 你好,我是老蔡mytsaii ch4_4七段顯示器跑馬燈移動顯示0,1,2,3,4,5,6,7,8,9循環 使用74HC595 四位數七段顯示器共陽低電位作動 dataPin=10;//串列資料 latchPin=11;//栓鎖訊號 clockPin=12;//接腳移位脈波訊號 //ch4_4 const int scan[4]={5,6,7,8};//pin5~pin8 = scan[0]~scan[3] const int dataPin=10;//串列資料 const int latchPin=11;//栓鎖訊號 const int clockPin=12;//接腳移位脈波訊號 const int segCode[10]=  {                         0xC0,//0,11000000                         0xF9,//1,11111001                         0xA4,//2,10100100                         0xB0,//3,10110000                         0x99,//4,10011001                         0x92,//5,10010010             ...

ch4_3七段顯示器2025與0123切換顯示0.5s

老蔡mytsaii教學網頁,hi 你好,我是老蔡mytsaii ch4_3七段顯示器2025與0123切換顯示0.5s 亮2025,再亮0123,循環 使用74HC595串列轉並列輸出四位數七段顯示共陽 dataPin=10 latchPin=11 clockPin=12 //ch4_3 const int scan[4]={5,6,7,8};//pin5~pin8 = scan[0]~scan[3] const int dataPin=10;//串列資料 const int latchPin=11;//栓鎖訊號 const int clockPin=12;//接腳移位脈波訊號 const int segCode[10]=  {                         0xC0,//0,11000000                         0xF9,//1,11111001                         0xA4,//2,10100100                         0xB0,//3,10110000                         0x99,//4,10011001                         0x92,//5,10010010                   ...

ch4_2七段顯示器閃爍顯示

老蔡mytsaii教學網頁,hi 你好,我是老蔡mytsaii ch4_2七段顯示器閃爍顯示 數字5678,約先亮2秒,約再閃2秒,使用delay200延遲 使用74HC595串列轉並列 dataPin=10 latchPin=11 clockPin=12 dp, g, f, e, d, c, b, a 低電位作動解碼 0xC0,//0,11000000 0xF9,//1,11111001 0xA4,//2,10100100 0xB0,//3,10110000 0x99,//4,10011001 0x92,//5,10010010 0x82,//6,10000010 0xF8,//7,11111000 0x80,//8,10000000 0x90//9,10010000 //ch4_2 const int scan[4]={5,6,7,8};//pin5~pin8 = scan[0]~scan[3] const int dataPin=10;//串列資料 const int latchPin=11;//栓鎖訊號 const int clockPin=12;//接腳移位脈波訊號 const int segCode[10]=  {                         0xC0,//0,11000000                         0xF9,//1,11111001                         0xA4,//2,10100100                         0xB0,//3,10110000                   ...

ch4_1七段顯示器使用74HC595亮9453

圖片
 老蔡mytsaii教學網頁,hi 你好,我是老蔡mytsaii ch4_1七段顯示器使用74HC595亮9453 //ch4_1 const int scan[4]={5,6,7,8};//pin5~pin8 = scan[0]~scan[3] const int dataPin=10;//串列資料 const int latchPin=11;//栓鎖訊號 const int clockPin=12;//接腳移位脈波訊號 const int segCode[10]=  {                         0xC0,//0,11000000                         0xF9,//1,11111001                         0xA4,//2,10100100                         0xB0,//3,10110000                         0x99,//4,10011001                         0x92,//5,10010010                         0x82,//6,10000010           ...

ch3_4開關控制1閃爍2霹靂3眉開眼笑4關

老蔡mytsaii教學網頁,hi 你好,我是老蔡mytsaii  ch3_4開關控制1閃爍2霹靂3眉開眼笑4關 LED接9,8,7,6,5,4,3,2 開關接A0,A1,A2,A3 低位作動 //ch3_4 const int LED[8]={9,8,7,6,5,4,3,2}; const int PB[4]={A0,A1,A2,A3}; int onoff=1; int off=1; int on=0; int sw=0; int pp=0; void setup() {   for(int i=0;i<8;i++)   {     pinMode(LED[i],OUTPUT);     digitalWrite(LED[i],off);   }   for(int j=0;j<4;j++)   {     pinMode(PB[j],INPUT);   }   Serial.begin(9600); } void loop() {   readPB();   while(pp==1)//閃爍LED   {     for(int i=0;i<8;i++)     {       digitalWrite(LED[i],onoff);       onoff=!onoff;       readPB();     }     delay(250);     onoff=!onoff;     readPB();   }   while(pp==2)//霹靂燈   {     for(int i=0;i<8;i++)     {       digitalWrite(LED[i],on);       delay(100);   ...

ch3_3眉開眼笑LEDx8

 老蔡mytsaii教學網頁,hi 你好,我是老蔡mytsaii ch3_3眉開眼笑LEDx8 LED接9,8,7,6,5,4,3,2 低電位作動 //ch3_3 const int LED[8]={9,8,7,6,5,4,3,2}; int off=1; int on=0; void setup() {   for(int i=0;i<8;i++)   {     pinMode(LED[i],OUTPUT);     digitalWrite(LED[i],off);   } } void loop() {   for(int i=0;i<=4;i++)   {     digitalWrite(LED[3-i],on);     digitalWrite(LED[i+4],on);     delay(100);   }   for(int i=0;i<8;i++)   {     digitalWrite(LED[i],off);   }   delay(500); }

ch3_2霹靂燈x8

 老蔡mytsaii教學網頁,hi 你好,我是老蔡mytsaii ch3_2霹靂燈x8 LED接9,8,7,6,5,4,3,2 低電位作動 //ch3_2 const int LED[8]={9,8,7,6,5,4,3,2}; int off=1; int on=0; void setup() {   for(int i=0;i<8;i++)   {     pinMode(LED[i],OUTPUT);     digitalWrite(LED[i],off);   } } void loop() {   for(int i=0;i<8;i++)   {     digitalWrite(LED[i],on);     delay(100);     digitalWrite(LED[i],off);   }   for(int i=6;i>0;i--)   {     digitalWrite(LED[i],on);     delay(100);     digitalWrite(LED[i],off);   } }

ch3_1閃爍LEDx8

 老蔡mytsaii教學網頁,hi 你好,我是老蔡mytsaii ch3_1閃爍LEDx8 8顆LED接9,8,7,6,5,4,3,2 低電位作動(高電位作動也可) //ch3_1 const int LED[8]={9,8,7,6,5,4,3,2}; int onoff=1; void setup() {   for(int i=0;i<8;i++)   {     pinMode(LED[i],OUTPUT);     digitalWrite(LED[i],1);   } } void loop() {   for(int i=0;i<8;i++)   {     digitalWrite(LED[i],onoff);     onoff=!onoff;   }   delay(250);   onoff=!onoff; }

ch2_3開關控制LED,按一下開,按一下關。

 老蔡mytsaii教學網頁,hi 你好,我是老蔡mytsaii ch2_3開關控制LED,按一下開,按一下關。 LED接第7腳 開關接A0 低電位作動 //ch2_3.ino const int LED=7; const int PB=A0; int onoff=1; void setup() {   pinMode(LED,OUTPUT);   digitalWrite(LED,1); } void loop() {   int pb=digitalRead(PB);   if(!pb)   {     while(!pb)     {       pb=digitalRead(PB);     }     onoff=!onoff;     digitalWrite(LED,onoff);   }   delay(100); }

ch2_2開關控制LED,一個按鈕是開,另一個按鈕是關。

 老蔡mytsaii教學網頁,hi 你好,我是老蔡mytsaii ch2_2開關控制LED,一個按鈕是開,另一個按鈕是關。 低電位作動 LED接第7腳 開關ON接A0 開關OFF接A1 //ch2_2.ino const int LED=7; const int pbON=A0; const int pbOFF=A1; void setup() {   pinMode(LED,OUTPUT);   digitalWrite(LED,1); } void loop() {   int pb=digitalRead(pbOFF);   if (!pb)   {     while(!pb)     {       pb=digitalRead(pbOFF);     }     digitalWrite(LED,1);   }   else   {     int pb=digitalRead(pbON);     if(!pb)     {       while(!pb)       {         pb=digitalRead(pbON);       }       digitalWrite(LED,0);     }   }   delay(100); }

ch2_1 4開關控制4LED

 老蔡mytsaii教學網頁,hi 你好,我是老蔡mytsaii ch2_1 4開關控制4LED LED接7,6,5,4 開關接A0, A1, A2, A3 都是低位作動的開關 //ch2_2.ino const int LED[4]={7,6,5,4}; const int DIP[4]={A0,A1,A2,A3}; void setup() {   for(int i=0;i<4;i++)   {     pinMode(LED[i],OUTPUT);     digitalWrite(LED[i],1);   } } void loop() {   for(int i=0;i<4;i++)   {     int sw=digitalRead(DIP[i]);     digitalWrite(LED[i],sw);   }   delay(100); }

ch1_1漸變LED, LED接第3腳,低電位作動。

老蔡mytsaii教學網頁,hi 你好,我是老蔡mytsaii  LED接第3腳,低電位作動。 //ch1_1 #define LED 3 void setup() {   pinMode(LED,OUTPUT);   digitalWrite(LED,1); } void loop() {   analogWrite(LED,200);   delay(200);   analogWrite(LED,150);   delay(200);   analogWrite(LED,100);   delay(200);   analogWrite(LED,50);   delay(200);   analogWrite(LED,0);   delay(200);   analogWrite(LED,50);   delay(200);   analogWrite(LED,100);   delay(200);   analogWrite(LED,150);   delay(200);   analogWrite(LED,200);   delay(200);   analogWrite(LED,255);   delay(1000); }

工業電子丙級1130531量測計算答案與解析by老蔡mytsaii

圖片
首頁: 老蔡mytsaii教學網頁,hi 你好,我是老蔡mytsaii 工業電子丙級1130531量測計算答案與解析by老蔡mytsaii

我決定改變這10個生活習慣 I'm Charlie

https://youtu.be/9Q0BDhHJL1o?si=ECZjanEW4plyEUAH 我決定改變這10個生活習慣 I'm Charlie 1不用紙杯喝熱飲 2不用塑膠袋裝食物 3少吃外食(或自備餐具) 4盡量吃有機食品 5慎選精油 6不要熬夜 7減少工作壓力 8多吃抗氧化物(少吃加工食品,多吃原型食物) 9物理性防曬(外套、帽子、陽傘、口罩、太陽眼鏡) 真的自己帶保溫杯去買咖啡才是最環保又安全又可以折價

4位數7段顯示器移位暫存控制器VHDL20240611_door_locks (半成品)

圖片
4位數7段顯示器移位暫存控制器 https://youtu.be/yuStxpw-Cvo 能夠用莫爾機Moore做解彈跳, 個位等於指撥開關輸入(BCD) 按下set時,4位數7段顯示器往左移位 設有清除,一鍵清成0000 library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; use ieee.std_logic_arith.all; use ieee.numeric_std.all; entity VHDL20240611_door_locks is port( clk_4M:in std_logic; sw_in:in std_logic_vector(3 downto 0); set:in std_logic; rst:in std_logic; seg7_scan:out std_logic_vector(3 downto 0); seg7_out:out std_logic_vector(6 downto 0); seg7_sec:out std_logic:='1'); end VHDL20240611_door_locks; architecture aa of VHDL20240611_door_locks is signal cnt1:integer range 0 to 1999:=0; signal clk_2k:std_logic:='0'; signal cnt2:std_logic_vector(1 downto 0):="00"; signal v3:std_logic_vector(3 downto 0):="0000"; signal v2:std_logic_vector(3 downto 0):="0000"; signal v1:std_logic_vector(3 downto 0):="0000"; signal v0:std_logic_vector(3 downto 0):="0000"; signal seg7_reg:std_lo...

按鈕解彈跳計數7段LED顯示器VHDL20240611_seg7_controller

圖片
 按鈕解彈跳記數7段LED顯示器VHDL20240611_seg7_controller https://youtube.com/shorts/PQcoAYN7H8I clk_4M訊號被控制時脈輸入,用於觸發時脈邊緣的過程。 counting和訊號分別控制是否rst進行計數和重置計數。countingrst seg7_scan是用於掃描選擇七段顯示器的訊號,seg7_out用於輸出七段顯示器的選擇訊號,seg7_sec用於控制秒的訊號。 v2和v3訊號分別用來儲存兩個四位的整數的值。 button_1是一個狀態機,idle用於處理按鈕的狀態pressed。訊號為高電平,則切換回狀態。idlepressedpressedcnt2countingidle cnt4訊號在時脈的clk_2k上升沿選擇翻轉。cnt4seg7_reg 透過seg7_reg中儲存的值來選擇要顯示的七段數碼管的段,將其儲存在seg7_out中輸出。 最後,透過將v2和v3中的值分別賦給BCD訊號的低四位元和高四位,輸出到外部。 實現一個控制七段顯示器的狀態機,它按鈕控制計數開始/停止和重設功能,並根據計數結果選擇要顯示的數字。 題 背景: 在數位電路設計中,按鍵的彈跳問題是一個常見的挑戰。 要 設計一個按鍵去振動計數器和七段LED顯示器控制器。 功能描述: 按鍵按下時,計數開始並在七段 LED 顯示器上顯示計數值。 當按鍵釋放時,計數停止並保持顯示最後 可以透過另一個按鍵清除 使用七段LED顯示器顯示計數值,使用 提 使用狀態機來實現關鍵的穩定檢測和計數的控制。 考慮使用投票來針對按鈕 考 VHDL設定 按鍵去搖晃 狀態 七段LED library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; use ieee.std_logic_arith.all; entity VHDL20240611_seg7_controller is port( clk_4M:in std_logic; counting:in std_logic; rst:in std_logic; seg7_scan:out std_logic_vector(3 downto 0); seg7_out:out std...

以莫爾機控制0~3上下數計數器VHDL20240608_counting_moore

圖片
 以莫爾機控制0~3上下數計數器VHDL20240608_counting_moore https://youtube.com/shorts/NGUJQK7pRxM 利用莫爾機完成一個0~3上下數計數器 當按下rst,計數器輸出為0 放開rst,計數器正常計數 當mode為0,為下數計數器,0, 3, 2, 1, 0 當mode為1,為上數計數器,0, 1, 2, 3, 0 library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; use ieee.std_logic_arith.all; use ieee.numeric_std.all; entity VHDL20240608_counting_moore is port( clk_4M:in std_logic; rst:in std_logic; mode:in std_logic; BCD:out std_logic_vector(3 downto 0)); end VHDL20240608_counting_moore; architecture aa of VHDL20240608_counting_moore is signal cnt1:integer range 0 to 1999999:=0; signal clk_sec:std_logic:='0'; type state_type is (s0, s1, s2, s3); signal state: state_type:=s0; begin process(clk_4M) begin if rising_edge(clk_4M) then if cnt1=1999999 then cnt1<=0; clk_sec<=not clk_sec; else cnt1<=cnt1+1; end if; end if; end process; process(rst,clk_sec,state,mode) begin if rst='0' then state<=s0;...

紅綠燈控制電路VHDL20240608_RYG

圖片
 完成一個十字路口紅綠燈控制電路 https://youtube.com/shorts/F2PGvyhlvCQ 有RYG1與RYG2共兩組紅綠燈,紅綠燈的週期是10秒,綠燈4秒,黃燈1秒,紅燈5秒。 電源打開時起始狀態是RYG1亮綠燈,RGY2亮紅燈 設rst鈕,按下回到RYG1亮綠燈,RGY2亮紅燈繼續 LED1, LED2, LED3, LED4, LED5, LED6, LED7, LED8 LED1不使用 LED2=R1 LED3=Y1 LED4=G1 LED5不使用 LED6=R2 LED7=Y2 LED8=G2 library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; use ieee.std_logic_arith.all; use ieee.numeric_std.all; entity VHDL20240608_RYG is port( clk_4M:in std_logic; rst:in std_logic; RYG:out std_logic_vector(7 downto 0)); end VHDL20240608_RYG; architecture aa of VHDL20240608_RYG is signal cnt1:integer range 0 to 1999999:=0; signal clk_sec:std_logic:='0'; signal cnt2:integer range 0 to 9:=0; begin process(clk_4M) begin if rising_edge(clk_4M) then if cnt1=1999999 then cnt1<=0; clk_sec<=not clk_sec; else cnt1<=cnt1+1; end if; end if; end process; process(clk_sec) begin if rst='0' then cnt2<=0; elsif rising_edge(clk_s...